Page 1 of 1

Autocomplete and unions doesn't seem to be working.

Posted: Sat Sep 28, 2019 12:55 am
by Brianx3456
Trying to get autocomplete to work with unions.

Example code:
union
{
uint8_t a[25];
uint8_t b[10];
uint8_t c[5];
} example;

Codelite doesn't complete the union name or find the members.

Re: Autocomplete and unions doesn't seem to be working.

Posted: Sat Sep 28, 2019 3:45 pm
by eranif
Please enable the Language Server plugin and install clangd.
This is the only way to get code completion to work properly.

https://wiki.codelite.org/pmwiki.php/Ma ... uageServer